タグ付けされた質問 「fpga」

フィールドプログラマブルゲートアレイ(FPGA)は、製造後にお客様が構成するロジックチップであり、「フィールドプログラマブル」です。

2
FPGA回路図上の角括弧間の数
FPGA開発ボードの回路図を勉強しています。多くのピンが角括弧で囲まれていることに気付きました。回路図の一部のスクリーンショットを添付します。これらの番号は緑色で囲まれています。さらに、緑色の円の右側には、名前の前に[2,5]が付いたピンが1つあります。 どういう意味か知っているかどうか聞いてみたい。
12 fpga  schematics 

1
ソフト、ファーム、ハードIPコアとは何ですか?[閉まっている]
閉じた。この質問はより集中する必要があります。現在、回答を受け付けていません。 この質問を改善したいですか?この投稿を編集するだけで1つの問題に焦点を当てるように質問を更新します。 閉じた2年前。 知的財産(IP)コアの私の理解は、それらが特定のFPGAまたはASICの回路レイアウトまたはセットアップであり、一般使用向けに販売されることを意図しているということです。 ソフト、ファーム、ハードIPコアとは何ですか?


4
FPGAファームウェア設計:大きすぎますか?
matlabからVHDLに移植する必要のある特に大きな信号処理トランスフォームがあります。間違いなく何らかのリソース共有が必要です。少し計算すると、次のことがわかりました。 512 fftの64ポイント 41210積和演算 最大のVirtex 6 FPGAには最大2000個のDSP48Eブロックがあることを考えると、リソースを複数回再利用するためにリソースを共有できることがわかります。実行時間は実際には問題ではなく、FPGAの観点からすると処理時間は比較的長くかかる場合があります。 リソースの使用状況を見ると、radix-2 liteアーキテクチャを使用すると、4dspブロック/ FFT操作= 2048 DSPブロック、合計で約43kになります。最大のVirtex FPGAには2kブロック、つまり20オペレーション/ muxがあります。 明らかに、このような大きなマルチプレクサをファブリックに含めると、スライスが占有されます。この制限の上限はどこにありますか?FPGAリソースを無限に共有することはできません。41210乗数は大きすぎますか?大きすぎるものを計算するにはどうすればよいですか? 他のリソース(スライス、Bramsなど)も調べました。Radix-2 Liteは、4 x 18kブラム/ fft = 2048ブラムも提供します。最大のザイリンクスFPGAには2128ブラムが含まれます。非常に境​​界線。デザインが大きすぎるのではないかと心配しています。 更新: デザイン自体に関する詳細情報。詳細に説明することはできませんが、ここで説明します。 Initial conditions -> 512 ffts -> 40k multipliers ---------|----> output data to host ^------re-calculate initial conditions----| 出力データレートの仕様:「MATLABシミュレーションよりも高速」 賢明な計算、これは私がいる場所です: FFTステージ:簡単。1/2/4/8 FFTを実装し、結果をSDRAMに保存して後でアクセスできます。比較的小さく、時間がかかっても問題ありません。radix-2 liteを使用すると、2つのDSP48Eと2つの18k BRAMS / FFTを取得できます。ストリーミングでは、6個のDSP48Eに0BRAMS / FFTが与えられます。どちらの場合も、64ポイントFFTはFPGAリソースの観点からは小さいです。 …
12 fpga  vhdl  xilinx 

7
安価なFPGA開発ボード[終了]
閉まっている。この質問はトピック外です。現在、回答を受け付けていません。 この質問を改善したいですか? 質問を更新して、 Electric Engineering Stack Exchangeで話題になるようにします。 4年前に閉鎖されました。 FPGAから始めたいと思っていますが、一度も作業したことがありません。 安いキットが欲しいのですが、どこから始めればいいのかわかりません。安いボードを見つけることはできますが、プログラマーやコンパイラーに関する情報を得ることができません。 WinCUPLやVHDLなどのいくつかの言語を使用してプログラミングできる、独自の方法ではないプログラミングとデバッグ(おそらくJTAG?)を備えた、本当に安価なもの(最大$ 30〜$ 40)が必要です。 別のスレッドでザイリンクスCPLDを搭載したDiligent Cmodボードを見つけましたが、プログラム/デバッグ(ソフトウェアおよび追加のハードウェアが含まれる)の方法がわかりません。
12 fpga  vhdl  jtag 

6
FPGAに関する書籍の推奨事項[終了]
閉まっている。この質問はトピック外です。現在、回答を受け付けていません。 この質問を改善したいですか? 質問を更新して、 Electric Engineering Stack Exchangeで話題になるようにします。 閉じた2年前。 FPGAとVHDLの使用を開始するには、どの本のタイトルをお勧めしますか? edit 推奨されている書籍のいくつかは1996年に遡ることに気付きました。言及されたデバイスは非常に古くなっていると想像できますが、それ以来VHDLも大きく進化しましたか。
12 fpga  books  vhdl 

5
FPGAはそのようなプロジェクトに実行可能ですか?
私は現在、画面表示プロジェクトであるSuper OSDに取り組んでいます。http://code.google.com/p/super-osdにすべての詳細があります。 現在、私はdsPIC MCUを使用して仕事をしています。これは非常に強力なDSP(40 MIPS @ 80 MHz、3レジスタシングルサイクル操作およびMACユニット)であり、重要なことには、DIPパッケージで提供されます(ブレッドボードを使用してプロトタイプを作成しているため)。 OSDを実行することにより、パフォーマンスの最後のすべてのビットが本当に得られます-チップの出力ステージでピクセルあたり約200nsまたは10サイクルあるため、この部分でコードを非常に最適化する必要があります(このため、常に書き込まれますアセンブリ。) このようなチップの並列アーキテクチャにより、OSDを実行する単純なロジックプログラムを使用できるため、FPGAの使用を検討していました。線の描画やアルゴリズムコードのようなものはMCUで処理されますが、実際の出力はFPGAで行われます。そして、速度を向上させるために、FPGAに統合したいピクセルの設定や水平線と垂直線の描画などの簡単なこともあります。 いくつか質問があります: 大幅に費用がかかりますか?私が見つけた最も安いFPGAはそれぞれ£5で、dsPICはそれぞれ£3です。それでもっと費用がかかりますが、いくらですか? dsPICはSO28パッケージに収まります。SO28やTQFP44よりも大きくなりたくありません。私が見たほとんどのFPGAは、BGAまたはTQFP> 100パッケージで提供されていますが、せん断サイズと自分ではんだ付けするのが難しいため、現時点ではオプションではありません。 FPGAはどのくらいの電流を使用しますか?dsPICソリューションは現在約55mA +/- 10mAを消費しますが、現時点では問題ありません。FPGAは多かれ少なかれ消費しますか?それは可変ですか、それともdsPICのようにほとんど静的ですか? OSDグラフィックを保存するには、少なくとも12KBのグラフィックメモリが必要です。FPGAはチップ上でこの種のメモリを利用できますか、それとも外部チップでのみ利用可能ですか?


3
最も多くのリソースと領域を使用するFPGAデザインの領域を識別する方法
私は大規模なFPGAデザインに取り組んでおり、現在使用しているFPGAのリソース制限であるCSG225パッケージのザイリンクスLX16に非常に近づいています。 設計もほぼ完了していますが、現時点ではFPGAに収まりません。部品をオフにしてフィットさせることはできますが、デザインを完了し、タイミングとサイズの要件を満たすために、リソースの使用量を減らす必要があります。 設計のどの部分が最もリソースを消費しているかを特定するのに役立つレポートがツールにあるかどうかを知りたいです。私のデザインはパーティション分割されておらず、約12個以上のVHDLモジュールに分割されています。 ザイリンクスのタイミングレポートは素晴らしいものですが、スペース節約の観点から見れば、最高の価値をどこで得ることができるかを知る必要があります。 また、どのタイプのリソースが不足しているか、またはそれらのリソースにどのような影響があるのか​​を判断するのも困難です。 もう1つの面倒な点は、デザインが大きくなると、タイミングを満たすために使用されていたコンポーネントが配置が理想的ではなくなったために失敗し始めていることです。 現在、配置配線後のスタティックタイミングレポートを使用し、SmartXplorerを使用しています。タイミングを最適化するために設計戦略を使用しています。 デザインの一部をオフにしてフィットさせると、次のような結果が得られます。 スライスレジスタ使用率:42%スライスLUT使用率:96%完全に使用されたLUT-FFペアの数:38%これは、レジスターは軽いが、ゲート使用率が高いということですか? 開発者がエリアを最適化するのに役立つツールはありますか、少なくともコードについてより多くの洞察を与えることができますか? 更新: モジュールレベルの使用率を確認したところ、LUT全体の約30%を占める小さな接着剤非同期fifoがいたるところにあることがわかりました。高速バスのクロスクロックドメインの接着剤として使用しています。クロックは密接に関連しているため、これらを削除できるはずです。(120 MHz入力、DCMで100 MHzおよび200 MHzを生成)

4
VECTOR表現とINTEGERを使用するのが適切なのはいつですか?
この質問への回答に関するコメントスレッド:VHDLエンティティでの誤った出力 「整数では、FPGAの内部ロジック表現を制御またはアクセスできませんが、SLVを使用すると、キャリーチェーンを効率的に利用するようなトリックを実行できます。」 では、内部表現にアクセスするために、整数のs を使用するよりもビット表現のベクトルを使用してコーディングする方が、どのような状況で適切であると思いましたか?そして、どのような利点を測定しましたか(チップ領域、クロック周波数、遅延などに関して)?
11 fpga  vhdl 

3
FPGAなどのコンポーネントのエクスポート制限
私の製品のFPGAを小型のSpartan3A-200から低から中規模のSpartan6にアップグレードすることを検討しています。Spartan6の方が実際には安く、200を大きく超えています。現時点で別のSpartan3Aで設計するのは間違いのようです。 私の(油田関連)製品は、どこにでも発送される可能性があります。したがって、次の設計に制御されたテクノロジを組み込むなど、大きなミスを犯したくありません。 これらのFPGAは、ほんの数年前には絶対に最先端であったテクノロジーに基づいています。輸出規制された特定のプロセッサを思い出して、Spartan6がどういうわけか規制されているのではないかと心配しています。 どのFPGAやコンポーネントがITARのような輸出規制の対象になっているかについての情報を探していますが、決定的なものは何もありません。これは、スペース定格のチップにのみ適用されるように見えます。(そのコンテキストでは常に言及されます)。 どのようにエクスポートしてもよいかを教えてください。
11 fpga 

2
クロックバッファーICはいつ使用する必要がありますか?
FPGAから7つのDACを駆動するための回路とPCBを設計しています。(DACはAD9762です) FPGAの(PLL出力ピンからの)単一のクロック出力で7つすべてのDACのクロック入力を駆動することは可能ですか?それとも災害のレシピですか? それは最大でシングルエンドのクロックになります。周波数。125 MHzの。 または、クロックバッファーを使用して、各DACクロック入力の前にクロックをバッファーする必要がありますか? もしそうなら、これは良いクロックバッファですか?(NB3N551) 私が使えるより良いものはありますか? 編集:申し訳ありませんが、私は言及すべきでした:すべてのDACは、短い(数インチ)リボンケーブルを介してFPGAボードに接続された5 "x5" PCB上にあります。 Edit2:質問を言い換えることができる場合:クロックバッファーのスペースとコストに余裕がある場合、潜在的なマイナス要素はありますか?それとも、これを行う安全な方法でしょうか?

4
推奨FPGAボード[終了]
閉まっている。この質問はトピック外です。現在、回答を受け付けていません。 この質問を改善したいですか? 電気工学スタック交換のトピックになるように質問を更新します。 去年閉鎖されました。 これはFPGAの最初の調査ですが、ソフトウェア開発の豊富なバックグラウンドです。学ぶためにボードを購入したいが、最終的には80年代前半のZ80ベースのコンピュータを実行できるようになりたい(TRS80モデルを考えてみてください)。 私はSpartan 3EとSpartan 6のボードを調べてきましたが、パフォーマンスと容量の違いについてはよくわかりません。 私が考えているのは次のようなものです: 十分なスペース: opencores T80ソフトコアを使用してZ80をシミュレートしました。 ビデオコントローラー、サウンドコントローラー(シンプルな1ビット)、フロッピーコントローラーなどのオンボード周辺機器のシミュレーション おそらく、フロッピー画像などを選択するためのオンボードボタンを使用するためのいくつかのpicoblazeコントローラ... VGAコネクタ(16色で十分、256ボーナス) PS2キーボードコネクタ いくつかの仮想フロッピーディスクイメージとシステムROMを保持するのに十分なオンボードフラッシュ(16Mbで十分です) ボードディスプレイでは、LCDは適切ですが、4x7セグメントLEDでおそらく十分です。 ある種のフラッシュカードソケットは便利ですが、必須ではありません。 おそらく電源パックを介して、電源をオフにして電源に接続します。 これらは私が現在検討しているボードです: Nexys™3 Spartan-6 FPGAボード Spartan 3Eスターターボード Spartan 3E-1600開発ボード Basys™2 Spartan-3E FPGAボード(500K)+ 16MフラッシュpMod Basys™2 Spartan-3E FPGAボード(1200K)+ 16MフラッシュpMod 編集:BasysにはオンボードRAMがないので、FPGA RAMに制限されることに気づきました-理想的ではありません。 私が確信していない主なことは、T80ソフトコアをロードした後にどれだけのスペースが残されるかです。アドバイスは大歓迎です。
11 fpga  picoblaze 

9
FPGAの初心者プロジェクト?
ロックされています。この質問とその回答はロックされています。なぜなら、質問はトピックから外れていますが、歴史的に重要だからです。現在、新しい回答や相互作用を受け入れていません。 最初の大学のデジタルロジックデザインコースを修了して2週間です。最終的なプロジェクトはなく、退屈な期末試験です。 それで、好奇心旺盛な学生がするように、私は実際にFPGAが何であるか、そしてクラスでスプーンで供給されていたものを調べました。そして、簡単なFPGAプロジェクトを完成させることにしました。私はBasys2 Spartan-3E FPGAを使用しており、デジタルロジックとISEを使用してロジックゲートを押し広げていますが、VHDL / Verilogはわかりません(簡単にピックアップできると思います)。 初心者のためのプロジェクトのアイデアはありますか?私は多くの実験室でのデモンストレーションを行いましたが、それほど凝ったものはありません。
11 fpga  design  vhdl  verilog 

6
VHDLのFIR / IIRフィルターのコード例?
Spartan-3ボードでDSPを使い始めようとしています。私は古いマザーボードのチップを使用してAC97ボードを作成しましたが、これまでのところ、ADCを実行し、サンプルを1未満の数(ボリュームの減少)に乗算してからDACを実行しています。 次に、ローパスフィルター、ハイパスなどの基本的なDSP処理を実行したいと思います。しかし、数値表現について本当に混乱しています(整数?固定小数点?Q0.15?オーバーフローまたは飽和?)。 私が始めるには、実際の単純なフィルターのコード例が欲しいだけです。高効率、高速、またはそのようなものはありません。VHDLに実装された理論上のフィルターだけです。 私は探していましたが、理論式を見つけただけです-わかりません。理解できないのは、ADCから取得した署名付きの16ビット、48KHzオーディオサンプルを処理する方法です。私はこれらのライブラリを使用しています:http : //www.vhdl.org/fphdl/。サンプルに0.5、0.25などを掛けると、違いを聞くことができます。しかし、より大きなフィルターは私にただノイズを与えます。 ありがとう。
11 fpga  vhdl  dsp  iir  fir 

弊社のサイトを使用することにより、あなたは弊社のクッキーポリシーおよびプライバシーポリシーを読み、理解したものとみなされます。
Licensed under cc by-sa 3.0 with attribution required.