GNU / Linux環境用のVHDL IDE


19

0からVHDLを学習する必要があり、NT / Windowsの代わりにLinuxカーネルで実行するオプションが欲しいのですが、何かヒントはありますか?また、初心者のための優れたVHDLリソースへの優れたリンクにも感謝しています。


3
FPGAベンダーのツールスーツの一部が無料バージョンでLinuxで実行されるようになったことはかなり確かです。これはHDL言語で遊ぶための一般的な方法です。
クリスストラットン

回答:


10

ザイリンクスISEとアルテラQuartus II IDEの両方がLinuxで実行されます。無料でダウンロードできます:

http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.htm

https://www.altera.com/download/software/quartus-ii-we

Symphony EDAもあります:

http://www.symphonyeda.com/

合成が不要な場合は、この方が良いかもしれません。それは素晴らしいソフトウェアです。無料版で十分かもしれません。


1
すごいですね!彼らがLinuxにもそれを提供していることに気づきませんでした。
コンサリック

9

編集者

デザインを記述したい任意のエディターを使用できますが、私はSigasiを提案します。Sigasi 2.0は、Eclipseベースの強力なエディターであり、自動補完、リファクタリング、コード美化などを提供します。私は過去にVimを頻繁に使用しましたが、Sigasiは本当にパフォーマンスを大幅に向上させました。アカデミックな使用は無料なので、自分の目で確かめることをお勧めします。

シミュレーター

ほとんどのベンダースイートには、ある種のコンパイラが含まれていますが、より単純な代替手段のほうが優れている場合があります。

  • GHDL:GNATおよびGCCに基づくオープンソースVHDLシミュレーター。
  • Simili:小規模プロジェクトのVHDLシミュレーターとIDEには無料です。

これらは両方とも、外出先や自宅で問題になる可能性のあるライセンスを必要としないため、便利な素晴らしいツールです。

合成

アルテラ、ザイリンクス、およびアクテルの両方が、実際のターゲットでデザインを実行する場合に使用できるスイートの無料バージョンを提供しています。


8

この分野で働いている私は、ザイリンクスやアルテラなどの深刻なベンダーがすでにツールのLinuxバージョンを持っていることを簡単に伝えることができます。両方とも、関連モードのemacsと比較してコードエディターとしては見劣りします。

シミュレーションインターフェイスの場合は、ghdl、icarus verilog、またはベリレーターの後に無料のgtkwaveが続きます。おそらくqucs(主にspiceのような回路シミュレータですが、freehdlとicarus verilogを使用してデジタルコンポーネントをシミュレートする)以外のシミュレーション用の便利なインターフェイスは知りません。gtkwaveは多くの場合、分析には十分ですが、データ量はかなり大きくなります。

最終的な合成とFPGAへの配置配線に関しては、現在、非フリーツールに代わる真の代替手段はありません。あなたは適切なフォーマットでビットストリームを持っていたら、プログラミングはあまり制限されている、などのボードのためにORDB2A(私はデザインを助けた)私たちは、次のような無料ツール使用くださいUrJTAGを。ASICを目指している場合、アライアンスは興味深い可能性です。


ORDB2Aは品切れです。それは見えOボードが良いの交換かもしれません。マウスで利用可能。
明華

2
また、一部のラティスFPGA向けの無料ソフトウェアツールもあります。プロジェクトIceStormを検索します。
ヤン・バーニア

2

私はvhdlモードでemacsを提案しようとしていました。

ただし、それはコード編集機能を提供するだけであり、合成を行うにはISE / QuartusIIツールが必要です。


最初の学習目的のために、コードは合成エンジンではなくシミュレーションエンジンに入力される場合があります(結果を表示するにはハードウェアデバイスが必要です)。FPGAスーツには、ある程度のシミュレーションツールが含まれていることが多く、そのために使用されることがありますが、「実際の」シミュレーターは、FPGAスイートにバンドルされ、個別に販売/公開される個別のパッケージです。
クリスストラットン
弊社のサイトを使用することにより、あなたは弊社のクッキーポリシーおよびプライバシーポリシーを読み、理解したものとみなされます。
Licensed under cc by-sa 3.0 with attribution required.