回答:
Ubuntu互換のSynpatiCADのLinux Verilogシミュレータをダウンロードできます。コマンドラインシミュレータとグラフィカルIDEが含まれています。インストール後、ツールを実行して、シミュレーターの6か月分の無料ライセンスをリクエストできます。
この投稿は役に立ちますか?Linux(Ubuntu)でのVerilogとVHDL?
VerilogシミュレーションにはIcarus Verilog(iverilog
リポジトリ内)、VHDLシミュレーションにはGHDL、波形表示にはGTKWave(gtkwave
リポジトリ内)を組み合わせて使用することをお勧めします。
FPGA開発にIDE環境を使用することもできます。アルテラ(Intel)FPGAのアルテラクォータスまたはザイリンクスデバイスのザイリンクスISE。これらの環境では、VHDLおよびVerilogのソースコードを記述し、シミュレータを含めることができます。
sudo apt-get install iverilog;
sudo apt-get install gtkwave;
すべてが正しく機能しているかどうかを確認するには、verilogのhello-worldを実行してみましょう。
nano hello.v
次に、次のhello-worldコードを記述します
module main;
initial
begin
$display("Hello world");
$finish;
end
endmodule
次にコンパイルする
iverilog hello.v -o hello
そして最後にコードを実行します
vvp hello
ターミナルウィンドウで次のコマンドを試してください。
sudo apt-get install gplcver