UbuntuでVerilog HDLを使用する方法


8

私はVerilog Hdlのいくつかの例で小さなコースを始めようとしました、そしていくつかの例で練習するための最良のシミュレータまたはIDEを探すのに多くの時間を費やした後、私はUbuntu 12.04でそれを使用する方法を理解できませんでした

だから、私は2つの質問があります:

  1. Ubuntu 12.04でVerilogを使用するにはどうすればよいですか?

  2. Linuxで利用できる最高のシミュレータ(またはIDE)は何ですか?

回答:


3

Ubuntu互換のSynpatiCADのLinux Verilogシミュレータをダウンロードできます。コマンドラインシミュレータとグラフィカルIDEが含まれています。インストール後、ツールを実行して、シミュレーターの6か月分の無料ライセンスをリクエストできます。


5

この投稿は役に立ちますか?Linux(Ubuntu)でのVerilogとVHDL

VerilogシミュレーションにはIcarus Verilogiverilogリポジトリ内)、VHDLシミュレーションにはGHDL、波形表示にはGTKWavegtkwaveリポジトリ内)を組み合わせて使用​​することをお勧めします。

EclipseベースのVerilogエディターが必要な場合は、veditorを試してください


Veditorが開かず、JDK6で開いても応答がないか、起動する別の方法がある
amrro

これはスタンドアロン製品ではなく、Eclipseプラグインです。Eclipseをインストールし、ここでの指示に従ってください:sourceforge.net/apps/mediawiki/veditor/...
トム・リグナー

はい、もう一度お願いします。icarusverilogをインストールする方法で回答を更新してください。インストールできません
amrro

ghdlでエラーが表示される...パッケージghdlは使用できませんが、別のパッケージによって参照されています。これは、パッケージが欠落しているか、廃止されているか、別のソースからしか入手できないことを意味している可能性がありますE:パッケージ 'ghdl'にはインストール候補がありません
amrro

ああ-mail.gna.org/public/ghdl-discuss/2012-04/msg00017.htmlが見つかりました。ビルドの問題により、ghdlはdebian ATMから削除されました。そのため、ubuntuでも12.04以降です。あなたはそれを自分でコンパイルしようとするかもしれません(そして、checkinstall経由でインストールします)-しかし、それを話す/書くことは私の時間を超えています
Tom Regner

1

FPGA開発にIDE環境を使用することもできます。アルテラ(Intel)FPGAのアルテラクォータスまたはザイリンクスデバイスのザイリンクスISE。これらの環境では、VHDLおよびVerilogのソースコードを記述し、シミュレータを含めることができます。


個人的にはQuartus Primeを使用していますが、十分なIDEですが、実際のFPGAにVerilogコードをダウンロードする場合は、デバイスが認識されるようにudevルールを編集する必要があります。
Sergiy Kolodyazhnyy 2018年

1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

すべてが正しく機能しているかどうかを確認するには、verilogのhello-worldを実行してみましょう。

nano hello.v

次に、次のhello-worldコードを記述します

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

次にコンパイルする

iverilog hello.v -o hello

そして最後にコードを実行します

vvp hello

-1

ターミナルウィンドウで次のコマンドを試してください。

sudo apt-get install gplcver

これは質問の答えにはなりません。答えを詳しく説明してください。gplcverとは何ですか?それの使い方?
エリックCarvalho 2013
弊社のサイトを使用することにより、あなたは弊社のクッキーポリシーおよびプライバシーポリシーを読み、理解したものとみなされます。
Licensed under cc by-sa 3.0 with attribution required.